分析测试百科网

搜索

喜欢作者

微信支付微信支付
×

薄膜沉积设备工艺升级 差异化布局加速国产化进程

2022.8.10
头像

量子

哥哥姐姐们,求关注

    本篇报告通过对逻辑、存储芯片的微观结构拆分展示了薄膜结构的种类多样性、工艺复杂性以及多款设备相互补充等特性,并从制程推进、多层趋势、工艺迭代等维度论述了薄膜沉积设备行业的成长性。薄膜沉积设备与光刻、刻蚀并列作为IC 前道制造三大主设备之一,全球市场空间超过200 亿美元,当前国产化率不足5%,国内相关设备公司加速差异化布局,具备较强成长属性。

    薄膜沉积光刻刻蚀并列作为芯片前道制造三大核心工艺,不同工艺应用场景所需薄膜种类繁多。薄膜沉积设备和光刻、刻蚀设备并列为前道制造三大主设备之一,从Gartner 公布的2021 年全球半导体设备市场占比来看,刻蚀/薄膜沉积/光刻分别占比30%/25%/23%。薄膜沉积作用是在芯片纳米级结构中逐层堆叠薄膜形成电路结构,包括半导体、介质、金属/金属化合物三大类。在前道制造过程中,自下而上分别通过浅槽隔离、栅极等前段工艺,钨栓塞、金属前介质层等中段工艺,金属层间介质、金属层等后段工艺形成不同模块,最后构筑成芯片的3D 结构。由于十余种模块工艺需要数层至数十层不同薄膜堆叠,而每层薄膜的特性、沉积材料、薄膜种类等均有很大差异,薄膜沉积设备需要满足不同薄膜的工艺要求,因此具备较高行业壁垒。

    薄膜呈现种类多样性和工艺复杂性,不同工艺环节需要物理/化学等不同沉积设备相互补充。不同薄膜沉积时反应的原理不同,因此薄膜沉积设备的技术原理也不同,沉积过程需要物理气相沉积(PVD,Physical Vapor Deposition)、化学气相沉积(CVD,Chemical Vapor Deposition)、原子层沉积(ALD,Atomic Layer Deposition)等设备相互补充,每类设备也包括多种细分子类,以满足不同应用场景需求。

1)PVD:通过真空蒸镀和溅射等物理方法沉积金属或金属化合物薄膜,应用最广泛的PVD 是磁控溅射离子化PVD,主要用于后段金属互连层、阻挡层、硬掩膜、焊盘等工艺;

2)CVD:通过不同气体间化学反应沉积半导体和介质薄膜,部分工艺也可以沉积金属/金属化合物薄膜,主要用于前段的栅氧化层、侧墙、PMD 及后段的IMD、阻挡层、钝化层等工艺。CVD 按反应压强和前驱体等不同主要分为APCVD、LPCVD、PECVD等,每一代设备随薄膜性能越来越高的要求而迭代,目前PECVD 应用最广泛

3)ALD:用于低k/高k 介质沉积、高深宽比沟槽填充、双重曝光工艺等,主要满足新兴薄膜/工艺需求。另外,在一些特定的沟槽填充场景,需要HDP-CVD、SACVD、FCVD 等设备作为补充;在某些金属/金属氧化物薄膜沉积过程中,也需要电镀、M-CVD 等方法作为补充。

    全球薄膜沉积设备市场空间超200 亿美元,制程升级/多层趋势+新兴工艺驱动市场增长。2021 年全球薄膜沉积设备市场空间超200 亿美元,PECVD/PVD/ALD 占比分别为33%/19%/11%,大陆市场超45 亿美元,占比约25%。薄膜沉积设备市场增长主要由制程升级/多层趋势及新工艺驱动:

1)制程升级/多层趋势带动设备需求量:在逻辑芯片中,制程进步带来工序步骤和薄膜层数增多,工序步骤从90nm 的40 步提升至3nm 的100步,金属层数从90nm 的7 层提升至5nm 的14 层,制程从180nm 进步到90nm 过程中,同样产能需要的薄膜设备数量翻倍;在存储芯片中,高深宽比结构以及存储层数堆叠带来薄膜沉积设备需求增大;

2)新工艺拓宽应用场景:在栅极从多晶硅栅(Poly-SiON)向HKMG 结构转变、存储结构深宽比越来越高、金属互连阻挡层薄膜越来越薄等过程中,以及多重曝光等新工艺中,传统的LPCVD/PECVD 等沉积方法沉积效果有限,需要ALD 工艺来沉积性能更好的薄膜并满足高深宽比等需求,在28nm 以下FinFET/GAA 结构中,仅有ALD 工艺能够满足复杂栅极结构中薄膜沉积要求。根据Acumen research and condulting 预测,2020-2026 年全球ALD 设备市场将从约20 亿美元提升至32 亿美元。但由于ALD 沉积过程不连续,在沉积速率等方面不如其他CVD 工艺,因此目前仅用于一些新的增量环节,在 成熟工艺环节暂时无法替代如LPCVD、PECVD 等工艺。

    薄膜沉积设备市场主要被海外大厂垄断,市场集中度较高。薄膜设备壁垒较高,叠加海外公司布局较早,因此全球市场主要被AMAT、LAM、TEL 等几家垄断,国产化率不足5%。在PVD 市场,AMAT 是绝对龙头;在CVD 市场,AMAT、LAM、TEL 三家几乎平分秋色;在ALD 市场,实现产业化应用的主要为TEL 和ASM。

①AMAT:是全球PVD 设备绝对龙头,在全球PVD 市场份额高达85%;在CVD 设备领域布局完善,全球份额达30%,覆盖LPCVD、PECVD、ALD、ECD、EPI、HDP-CVD、FCVD 等主流工艺,产品尤其在低k 介质等先进薄膜领域表现出色;

②LAM:并购诺发强化CVD 设备布局,全球份额达21%。PECVD、ALD 等CVD 设备覆盖的介质薄膜及工艺种类齐全,在ECD 电镀领域全球一家独大;

③TEL:PVD/CVD设备特色布局,覆盖PVD、LPCVD、PECVD、M-CVD、ALD 等设备;TEL在ALD 市场有独特竞争优势,全球份额高达31%,在DRAM 电容领域,全球仅TEL 和KE(日立电气)实现了用ALD 工艺沉积High-K 介质层;

④ASM:产品包括LPCVD/PECVD/EPI/ALD,在ALD 设备全球市场份额达29%,是全球唯一实现用ALD 工艺沉积高k 金属栅极产业化应用的厂商。

    投资建议。

1)薄膜沉积设备是半导体设备领域较为优质的投资赛道。

①市场空间大:2021 年市场空间超200 亿美元,仅次于刻蚀设备,行业规模是清洗、离子注入和涂胶显影等其他设备的数倍;

②技术壁垒高:薄膜种类繁多,同时工艺复杂,薄膜沉积设备需要覆盖多种不同种类和性能的薄膜,行业门槛较高;

③当前国产化率较低:2021 年薄膜沉积设备国产化率仅为5%左右,相较刻蚀(15-20%),前道清洗(30%+),还有很大国产替代空间。

2)国内晶圆产线产能增速预计超过行业平均,国内薄膜沉积设备厂商差异化布局。建议关注拓荆科技( 688072.SH ) 、北方华创(002371.SZ)、中微公司(688012.SH)、盛美上海(688082.SH)、微导纳米(A04088.SH)等。

①拓荆科技:国内CVD 设备龙头,产品覆盖PECVD/ALD/SACVD 三大类,其中PECVD 设备覆盖28nm 以上全介质薄膜,PE-ALD 和SACVD 实现产业化应用并持续导入验证,Thermal-ALD 新品正在研发。截至21Q3,拓荆在手订单超15 亿元,产品在逻辑产线不断放量、在存储产线份额稳步提升,展望2022/2023 年具备较强增长动力;

②北方华创: 国内半导体设备平台化龙头, 产品覆盖PVD/LPCVD/PECVD/ALD/EPI 等领域。公司PVD 设备在国内市场份额第一, 在Harmask 、CuBS 、Al pad 等领域具备较强竞争优势;LPCVD/PECVD 主要用于光伏领域,前道IC 设备也在加速导入中;Thermal-ALD 在28nm 节点实现产业化应用,PE-ALD 新品正在验证;

③中微公司:在全球GaN LED 用MOCVD 设备份额高达60%以上,2021 年Mini LED MOCVD 设备新签大规模订单,有望贡献2022 全年收入增长。公司用于钨填充的LPCVD 设备验证取得阶段性进展,同时组建EPI 研发团队;

④盛美上海:全球少数实现前道电镀设备产业化的公司,逐步打破LAM垄断,前道铜互连电镀技术覆盖20-14nm 节点。公司LPCVD 实现稳定量产,其他CVD 设备加速研发;

⑤微导纳米:以ALD 技术为核心,Thermal-ALD 实现了在高k 栅氧化层工艺上的突破与产业化应用,面向FeRAM 的Thermal-ALD 和第三代化合物半导体的PE-ALD 正在产业化验证。

    风险提示:1)下游晶圆厂扩产不及预期;2)薄膜沉积设备厂商增多导致竞争加剧;3)研发/验证进展不及预期;4)疫情影响设备交期的风险。

互联网综合
仪器推荐
文章推荐