IEEE 1364-2005
Verilog的硬件描述语言

Standard Verilog Hardware Description Language (IEEE Computer Society Document)


IEEE 1364-2005 发布历史

IEEE 1364-2005由美国电气电子工程师学会 US-IEEE 发布于 2005。

IEEE 1364-2005 在中国标准分类中归属于: L74 程序语言,在国际标准分类中归属于: 35.060 信息技术用语言。

IEEE 1364-2005 Verilog的硬件描述语言的最新版本是哪一版?

最新版本是 IEEE 1364-2005

IEEE 1364-2005的历代版本如下:

  • 2005年 IEEE 1364-2005 Verilog的硬件描述语言
  • 2004年 IEEE 1364-2004 行为语言 第4部分:Verilog 硬件描述语言(IEEE 计算机协会文档)
  • 2003年 IEEE 1364/COR-2003 Verilogę 硬件描述语言;勘误表
  • 2001年 IEEE 1364-2001 标准 Verilog 硬件描述语言(IEEE 计算机协会文档)
  • 1995年 IEEE 1364-1995 基于Verilog的硬件描述语言

 

定义 Verilog 硬件描述语言。 Verilog HDL 是一种正式符号,旨在用于电子系统创建的所有阶段

标准号
IEEE 1364-2005
发布
2005年
发布单位
美国电气电子工程师学会
当前最新
IEEE 1364-2005
 
 

IEEE 1364-2005相似标准


推荐

一文详解FPGA设计与应用(二)

Verilog HDL语言语法与C语言很相似,但是它们之间有着本质区别。C语言是基于过程高级语言,编译后可以在CPU上运行。而Verilog HDL语言描述本身就是硬件结构,编译后是硬件电路。因此,有些语句在C语言环境中应用是没有问题,但是在HDL语言环境下就会导致结果不正确或者 不理想。  ...

掌握FPGA设计三大黄金法则

当采用HDL语言描述一个硬件电路功能时候,一定要确保代码描述电路是硬件可实现。  Verilog HDL语言语法与C语言很相似,但是它们之间有着本质区别。C语言是基于过程高级语言,编译后可以在CPU上运行。而Verilog HDL语言描述本身就是硬件结构,编译后是硬件电路。因此,有些语句在C语言环境中应用是没有问题,但是在HDL语言环境下就会导致结果不正确或者不理想。...

聘翘楚,纳贤才

熟练使用常用仪器仪表(如示波器,多用表,信号源,频谱仪等)。6. 熟悉C语言编程,能进行模块底层调试。7. 熟悉硬件编程语言Verilog/VHDL。8. 能独立阅读英文相关资料。9. 具有虚拟仪器或测试仪器开发经验者优先。10. 能适应短期出差工作需求。职位关键词FPGA开发 PCB设计 Layout设计 芯片开发 芯片测试 电路设计 DSP开发FPGA工程师职位描述:1....

硬件加速器系统-Mentor Veloce Strato TiL共享应用

联系人:王汉杰(,,)分类标签:集成电路技术指标:4.8亿门、支持虚拟FlexMEM、编译效率不低于40MG/小时、支持普通门级网表、DFT门级网表、门级仿真加速、支持Verilog、VHDL、System verilog、SVA等语言知名用户:刘雷波(微电子)、尹首一(微电子)技术团队:测试工作由实验室资深工程师或者技术人员进行操作和支援。...





Copyright ©2007-2022 ANTPEDIA, All Rights Reserved
京ICP备07018254号 京公网安备1101085018 电信与信息服务业务经营许可证:京ICP证110310号