分析测试百科网

搜索

喜欢作者

微信支付微信支付
×

让摩尔定律一再放缓 晶圆厂的cycle time是什么?(二)

2020.10.12
头像

王辉

致力于为分析测试行业奉献终身

对于切割,芯片制造商使用SADP/SAQP,或双重曝光工艺。双重曝光有时被称为曝光-刻蚀-曝光-刻蚀(LELE)。三重曝光包括LELELE。

对于多重曝光中,7nm工艺所进行沉积、蚀刻和清洁步骤是16nm/14nm的两倍。 Coventor首席技术官David Fried表示:“随着我们从简单的一次曝光,到大多数28nm工艺所采用的多重曝光,步骤数增加得很快。现在,有三个切割级别的SAQP流程可能有60步操作,如沉积、蚀刻、清洁、旋转和曝光。”

在SADP流程中,可以使用抗蚀剂来绘制图层。然后在抗蚀剂上沉积一层,再次蚀刻,直到沉积物留在抗蚀剂线的两侧。然后去除掉抗蚀剂。专家指出,SADP无需两个完整的光刻循环,因此不会增加循环时间。

然后就是LELE。如果进行两次完整的光刻/蚀刻循环来创建双重曝光,那么循环时间会增加。据专家介绍,如果您的工艺有25个光刻层,其中有5层需要双重曝光,那么您将会有30个光刻循环。

“这是看待问题的另一种方法,对于LELE双重曝光,层的cycle time可能会加倍,因为您必须重复照相/蚀刻/去胶过程,三重曝光会增加三倍的cycle time,依此类推。”Mentor的Abercrombie说。

还有其他因素,如定位误差(overlay)和整体设备效率(OEE)。定位误差涉及到光刻机将各种掩膜层精确对准的能力。根据加州大学伯克利分校的理论,OEE是指完成的工作量除以总时间。

加州大学伯克利分校的Leachman表示:“将所有这些都加起来。如果你在某些层上做三重曝光,你会有50到60层,这需要很长时间。你要面对这些步骤所有的等待时间。减少cycle time的真正战斗在于减少等待时间。一个晶圆必须等待所有晶圆都通过了流水线才会离开。即使每个芯片通过光刻机只需1分钟,从这个批次开始到机器人将它运走仍会花费45分钟的时间。”

有解决方案吗?

同时,每家芯片制造商都有给定工艺过程的设定cycle time。为每个工艺过程指定cycle time非常困难,但很显然,cycle time正在增加。

那么解决方案有哪些?可以肯定的是,工具厂商正在进行改进。不久前,193nm光刻机每小时处理量为100片。ASML的Lercel说:“现在,光刻机每小时处理275个晶圆,而且精度更高。”

如果行业采用EUV,情况可能会不同。他表示,例如,在7nm工艺中使用EUV,cycle time至少减少一个月。

沉积和蚀刻工具的供应商也在进行改进。Lam Research全球产品集团首席技术官Yang Pan说:“最终,这事关良品的数目,而且想得到良品有许多因素需要考虑。这包括:在沉积过程中降低薄膜应力,满足finFET特性的苛刻要求,特征从顶部一直到底部的蚀刻,减少可变因素,等等”

多重曝光还需要使用一种称为原子层沉积(ALD)的缓慢工艺制造的薄膜。为了加快这个过程,有些厂商提供了多晶圆系统。Pan说:“我们已经证明,更多地利用(多晶圆)工艺架构固有的生产力优势对于厚膜沉积堆叠至关重要。”

的确,这需要一个整体的方法。Applied Materials公司蚀刻和图案策略和市场营销副总裁Uday Mitra说:“我们与客户合作,简化了多重曝光中使用的工艺流程,从而减少了工艺步骤和成本,同时降低了cycle time和工艺引起的变化。这可以通过在隔膜、硬掩膜、间隙填充,和高选择性蚀刻能力的过程中使用新型薄膜来实现。”

在另一种方法中,业界继续开发新的集群工具。 AppliedMaterials的Raja说:“现在有更多的集成工具,例如沉积和蚀刻。现在,我们可以把沉积和蚀刻放在一起,把外延和时刻放在一起,把CVD和PVD放在一起。这些类型的集成系统消除了排队时间。”

另一个策略是早日解决问题。为此,芯片制造商应该加强他们的计量和检查工作。发现缺陷,或检测线路中的可变因素可以解决一些问题。“过程控制可以帮助您缩短cycle time,把cycle time做到最好的人有更多的过程控制程序。”KLA-Tencor的Cappel说。


互联网
仪器推荐
文章推荐